source: ReferenceDesigns/w3_802.11/sysgen/wlan_phy_rx_pmd/blackboxes/deinterleaver_ram.m

Last change on this file was 5170, checked in by murphpo, 8 years ago

Redesigned Rx de-interleaver, again. Previous architecture was too slow for worst-case packet lengths with HTMF MCS7 waveforms. New design uses parallel demod (all bits per subcarrier in 1 cycle) writing to DP RAM, reading 2 LLRs per cycle into decoder.

Also increased range of soft demod values to Fix9_7 to avoid skewing confidence values for outermost points in 64QAM.

File size: 3.6 KB
Line 
1
2function deinterleaver_ram(this_block)
3
4  this_block.setTopLevelLanguage('Verilog');
5
6  this_block.setEntityName('deinterleaver_ram');
7
8  % System Generator has to assume that your entity  has a combinational feed through;
9  %   if it  doesn't, then comment out the following line:
10  this_block.tagAsCombinational;
11
12  this_block.addSimulinkInport('dina');
13  this_block.addSimulinkInport('wea');
14  this_block.addSimulinkInport('addra');
15  this_block.addSimulinkInport('dinb');
16  this_block.addSimulinkInport('web');
17  this_block.addSimulinkInport('addrb');
18
19  this_block.addSimulinkOutport('douta');
20  this_block.addSimulinkOutport('doutb');
21
22  douta_port = this_block.port('douta');
23  douta_port.setType('UFix_4_0');
24  doutb_port = this_block.port('doutb');
25  doutb_port.setType('UFix_4_0');
26
27  % -----------------------------
28  if (this_block.inputTypesKnown)
29    % do input type checking, dynamic output type and generic setup in this code block.
30
31    if (this_block.port('dina').width ~= 32);
32      this_block.setError('Input data type for port "dina" must have width=32.');
33    end
34
35    if (this_block.port('wea').width ~= 1);
36      this_block.setError('Input data type for port "wea" must have width=1.');
37    end
38
39    if (this_block.port('addra').width ~= 9);
40      this_block.setError('Input data type for port "addra" must have width=9.');
41    end
42
43    if (this_block.port('dinb').width ~= 32);
44      this_block.setError('Input data type for port "dinb" must have width=32.');
45    end
46
47    if (this_block.port('web').width ~= 1);
48      this_block.setError('Input data type for port "web" must have width=1.');
49    end
50
51    if (this_block.port('addrb').width ~= 9);
52      this_block.setError('Input data type for port "addrb" must have width=9.');
53    end
54
55  end  % if(inputTypesKnown)
56  % -----------------------------
57
58  % -----------------------------
59   if (this_block.inputRatesKnown)
60     setup_as_single_rate(this_block,'clk','ce')
61   end  % if(inputRatesKnown)
62  % -----------------------------
63
64    % (!) Set the inout port rate to be the same as the first input
65    %     rate. Change the following code if this is untrue.
66    uniqueInputRates = unique(this_block.getInputRates);
67
68
69  % Add addtional source files as needed.
70  %  |-------------
71  %  | Add files in the order in which they should be compiled.
72  %  | If two files "a.vhd" and "b.vhd" contain the entities
73  %  | entity_a and entity_b, and entity_a contains a
74  %  | component of type entity_b, the correct sequence of
75  %  | addFile() calls would be:
76  %  |    this_block.addFile('b.vhd');
77  %  |    this_block.addFile('a.vhd');
78  %  |-------------
79
80  %    this_block.addFile('');
81  %    this_block.addFile('');
82  this_block.addFile('blackboxes/dp_ram_wr_32b_rd_4b_2048b.ngc');
83  this_block.addFile('blackboxes/deinterleaver_ram.v');
84
85return;
86
87
88% ------------------------------------------------------------
89
90function setup_as_single_rate(block,clkname,cename) 
91  inputRates = block.inputRates; 
92  uniqueInputRates = unique(inputRates); 
93  if (length(uniqueInputRates)==1 & uniqueInputRates(1)==Inf) 
94    block.addError('The inputs to this block cannot all be constant.'); 
95    return; 
96  end 
97  if (uniqueInputRates(end) == Inf) 
98     hasConstantInput = true; 
99     uniqueInputRates = uniqueInputRates(1:end-1); 
100  end 
101  if (length(uniqueInputRates) ~= 1) 
102    block.addError('The inputs to this block must run at a single rate.'); 
103    return; 
104  end 
105  theInputRate = uniqueInputRates(1); 
106  for i = 1:block.numSimulinkOutports
107     block.outport(i).setRate(theInputRate); 
108  end 
109  block.addClkCEPair(clkname,cename,theInputRate); 
110  return; 
111
112% ------------------------------------------------------------
113
Note: See TracBrowser for help on using the repository browser.