Changes between Version 19 and Version 20 of 802.11/ResourceUsage


Ignore:
Timestamp:
Sep 30, 2015, 10:33:40 AM (9 years ago)
Author:
murphpo
Comment:

--

Legend:

Unmodified
Added
Removed
Modified
  • 802.11/ResourceUsage

    v19 v20  
    55[[TracNav(802.11/TOC)]]
    66
    7 = 802.11 Reference Design v1.2: Resource Usage =
     7= 802.11 Reference Design v1.3: Resource Usage =
    88
    99The 802.11 Reference Design targets the Virtex-6 LX240T FPGA on WARP v3 hardware. The FPGA resources used by the design change with each revision. The resource usage for the current release is listed below.
     
    1313
    1414||=  Resource  =||=  Used  =||
    15 || Slice Registers  || 67,081 out of 301,440 (22%) ||
    16 || LUTs  || 61,791 out of 150,720 (40%) ||
     15|| Slice Registers  || 68,014 out of 301,440 (22%) ||
     16|| LUTs  || 62,838 out of 150,720 (41%) ||
    1717|| Block RAMs (see note 1)  || 228 of 416 (59%) ||
    1818|| DSP48 (multipliers)  || 155 of 768 (20%) ||
     
    4242Target Speed   : -2
    4343Mapper Version : virtex6 -- $Revision: 1.55 $
    44 Mapped Date    : Tue Jan 13 10:31:48 2015
    4544
    4645Design Summary
     
    4948Number of warnings:  305
    5049Slice Logic Utilization:
    51   Number of Slice Registers:                67,081 out of 301,440   22%
    52     Number used as Flip Flops:              66,924
     50  Number of Slice Registers:                68,014 out of 301,440   22%
     51    Number used as Flip Flops:              67,850
    5352    Number used as Latches:                      4
    5453    Number used as Latch-thrus:                  0
    55     Number used as AND/OR logics:              153
    56   Number of Slice LUTs:                     61,791 out of 150,720   40%
    57     Number used as logic:                   51,531 out of 150,720   34%
    58       Number using O6 output only:          40,055
    59       Number using O5 output only:           1,278
    60       Number using O5 and O6:               10,198
     54    Number used as AND/OR logics:              160
     55  Number of Slice LUTs:                     62,838 out of 150,720   41%
     56    Number used as logic:                   52,411 out of 150,720   34%
     57      Number using O6 output only:          40,560
     58      Number using O5 output only:           1,357
     59      Number using O5 and O6:               10,494
    6160      Number used as ROM:                        0
    62     Number used as Memory:                   6,926 out of  58,400   11%
    63       Number used as Dual Port RAM:          2,376
     61    Number used as Memory:                   6,745 out of  58,400   11%
     62      Number used as Dual Port RAM:          2,384
    6463        Number using O6 output only:         1,576
    6564        Number using O5 output only:            19
    66         Number using O5 and O6:                781
    67       Number used as Single Port RAM:            7
    68         Number using O6 output only:             3
     65        Number using O5 and O6:                789
     66      Number used as Single Port RAM:           31
     67        Number using O6 output only:            19
    6968        Number using O5 output only:             0
    70         Number using O5 and O6:                  4
    71       Number used as Shift Register:         4,543
    72         Number using O6 output only:         4,346
    73         Number using O5 output only:            18
    74         Number using O5 and O6:                179
    75     Number used exclusively as route-thrus:  3,334
    76       Number with same-slice register load:  3,079
    77       Number with same-slice carry load:       237
    78       Number with other load:                   18
     69        Number using O5 and O6:                 12
     70      Number used as Shift Register:         4,330
     71        Number using O6 output only:         4,130
     72        Number using O5 output only:            17
     73        Number using O5 and O6:                183
     74    Number used exclusively as route-thrus:  3,682
     75      Number with same-slice register load:  3,397
     76      Number with same-slice carry load:       268
     77      Number with other load:                   17
    7978
    8079Slice Logic Distribution:
    81   Number of occupied Slices:                25,376 out of  37,680   67%
    82   Number of LUT Flip Flop pairs used:       79,591
    83     Number with an unused Flip Flop:        20,623 out of  79,591   25%
    84     Number with an unused LUT:              17,800 out of  79,591   22%
    85     Number of fully used LUT-FF pairs:      41,168 out of  79,591   51%
    86     Number of unique control sets:           2,461
     80  Number of occupied Slices:                25,354 out of  37,680   67%
     81  Number of LUT Flip Flop pairs used:       80,298
     82    Number with an unused Flip Flop:        20,728 out of  80,298   25%
     83    Number with an unused LUT:              17,460 out of  80,298   21%
     84    Number of fully used LUT-FF pairs:      42,110 out of  80,298   52%
     85    Number of unique control sets:           2,458
    8786    Number of slice register sites lost
    88       to control set restrictions:           9,222 out of 301,440    3%
     87      to control set restrictions:           9,249 out of 301,440    3%
    8988
    9089  A LUT Flip Flop pair for this architecture represents one LUT paired with
     
    9796
    9897IO Utilization:
    99   Number of bonded IOBs:                       344 out of     600   57%
    100     Number of LOCed IOBs:                      344 out of     344  100%
    101     IOB Flip Flops:                            163
     98  Number of bonded IOBs:                       352 out of     600   58%
     99    Number of LOCed IOBs:                      352 out of     352  100%
     100    IOB Flip Flops:                            165
    102101    IOB Master Pads:                            10
    103102    IOB Slave Pads:                             10
    104103
    105104Specific Feature Utilization:
    106   Number of RAMB36E1/FIFO36E1s:                209 out of     416   50%
    107     Number using RAMB36E1 only:                209
     105  Number of RAMB36E1/FIFO36E1s:                212 out of     416   50%
     106    Number using RAMB36E1 only:                212
    108107    Number using FIFO36E1 only:                  0
    109   Number of RAMB18E1/FIFO18E1s:                 37 out of     832    4%
    110     Number using RAMB18E1 only:                 37
     108  Number of RAMB18E1/FIFO18E1s:                 39 out of     832    4%
     109    Number using RAMB18E1 only:                 39
    111110    Number using FIFO18E1 only:                  0
    112111  Number of BUFG/BUFGCTRLs:                     11 out of      32   34%
    113112    Number used as BUFGs:                       11
    114113    Number used as BUFGCTRLs:                    0
    115   Number of ILOGICE1/ISERDESE1s:               129 out of     720   17%
    116     Number used as ILOGICE1s:                   64
     114  Number of ILOGICE1/ISERDESE1s:               131 out of     720   18%
     115    Number used as ILOGICE1s:                   66
    117116    Number used as ISERDESE1s:                  65
    118117  Number of OLOGICE1/OSERDESE1s:               224 out of     720   31%
     
    141140
    142141  Number of RPM macros:           15
    143 Average Fanout of Non-Clock Nets:                3.59
     142Average Fanout of Non-Clock Nets:                3.58
    144143}}}
    145144